• 发文
  • 评论
  • 微博
  • 空间
  • 微信

RTL设计风格指南

FPGA算法工程师 2021-03-11 08:48 发文

前言

美国、日本和我国台湾地区,是半导体技术重镇,中国大陆具有庞大的半导体市场。作为一名工程师,希望国内在半导体设计、制造、测封、工艺上,都取得明显进步,同时建立安全可控的生态链。

在基于半导体技术的数字化世界里,我们所用的电子设备在其内部对信号进行处理时,只认得“0”、“1”。芯片里布满的各种电路,在晶振的驱动下,信号一张一弛,将语音、图像、视频等传向远方。

芯片里的电路如何设计,才能保持信号处理的高性能、低功耗,成为了业界非常关心的问题。随着半导体和通信技术的快速发展,越来越多的企业使用ASIC/FPGA进行信号处理,RTL设计成为了芯片设计与开发的主流。

纵观国内EDA技术相关教材,真正编写得好的教材很少,对于RTL设计、静态时序分析等,基本上还是照搬国外的技术资料。

向强者学习,是这个世界制定的游戏规则。今天向大家推荐一份RTL设计指南--《RTL Design Style Guide for Verilog HDL》,该指南由日本的半导体技术学术研究中心(STARC)编写,系统地阐述了在设计中必须遵循的设计规则以及设计策略。

RTL设计风格指南

chapter1

基本设计约束

描述在开始设计之前应该考虑的一般设计限制,例如命名约定、设计风格、时钟方案、同步和异步设计考虑事项、分层设计原理等。

第一章目录如下:

很多人都在讲设计风格,很多公司也会做专门培训,然而并非总是这样。

上来就干活的不在少数,业余时间充电学习,成了必选项。

几年的设计经验,依然不能做到高质量、符合审美、简易性,从而催生出自救的办法。

遵循上述的基本设计约束,为RTL设计铺好路,设计出性能良好的数字系统,才是王道。

chapter 2

RTL描述技术

讨论设计人员可以应用于其设计的基本RTL编码风格和技术。还演示了组合逻辑和时序逻辑的编码风格,以及如何使用always、function、if、case和其他语句。

第2章目录如下:

组合逻辑的使用方法、触发器和锁存器推断、三态缓冲、if语句、case语句、for语句以及状态机的使用,哪些情况可用,哪些情况禁用,均有详尽说明,值得学习。

chapter 3

RTL设计方法学

描述如何创建函数库、参数化设计资源、插入测试设计(DFT)结构、实现低功耗设计技术、管理设计数据等。遵循本章中列出的规则和建议可以提高设计资源的可重用性。

第3章目录如下:

好的设计方法,往往可以提高工作效率,结合前面提到的UltraFast设计方法学,让RTL设计更高效。

chapter 4

验证技术

介绍仿真技术,包括如何参数化测试台,如何使用任务,如何制定验证策略,等等。

第4章目录如下:

RTL设计完成后,通过建立testbench验证平台来验证所设计的功能部件是否满足预期设计要求。本章给出了验证技术,testbench描述、task描述、验证过程、门级仿真、以及非常重要的静态时序分析(STA)。

附录A

逻辑综合

最后的附录部分,介绍了设计编译器的逻辑综合、约束技巧以及综合工具的提示信息。

资料获取

这份资料对于IC设计和FPGA开发者来说,十分难得。欢迎转发文章到相关的技术群或朋友圈,分享给有需要的开发者。联系笔者,有机会获得免费赠送。同时,请遵循原著的版权,本文仅供学习交流使用。

声明:本文为OFweek维科号作者发布,不代表OFweek维科号立场。如有侵权或其他问题,请及时联系我们举报。
2
评论

评论

    相关阅读

    暂无数据

    FPGA算法工程师

    聊无线通信技术,致力于FPGA、...

    举报文章问题

    ×
    • 营销广告
    • 重复、旧闻
    • 格式问题
    • 低俗
    • 标题夸张
    • 与事实不符
    • 疑似抄袭
    • 我有话要说
    确定 取消

    举报评论问题

    ×
    • 淫秽色情
    • 营销广告
    • 恶意攻击谩骂
    • 我要吐槽
    确定 取消

    用户登录×

    请输入用户名/手机/邮箱

    请输入密码