• 发文
  • 评论
  • 微博
  • 空间
  • 微信

2022年先进封装行业研究报告

资产信息网 2022-12-28 11:25 发文

第一章 行业概况

封装为半导体产业核心一环,主要目的为保护芯片。半导体封装测试处于晶圆制造过程中的后段部分,在芯片制造完后,将晶圆进行封装测试,将通过测试的晶圆按需求及功能加工得到芯片,属于整个 IC 产业链中技术后段的环节,封装的四大目的为保护芯片、支撑芯片及外形、将芯片的电极和外界的电路连通、增强导热性能作用,实现规格标准化且便于将芯片的I/O 端口连接到部件级(系统级)的印制电路板(PCB)、玻璃基板等材料上,以实现电路连接,确保电路正常工作。在“后摩尔时代”,行业从过去着力于晶圆制造技术节点的推进,逐渐转向封装技术的创新。

先进封装技术不仅可以增加功能、提升产品价值,还能有效降低成本,成为延续摩尔定律的重要路径。先进封装采用了先进的设计思路和先进的集成工艺,对芯片进行封装级重构,并且能有效提高系统高功能密度的封装技术。现阶段先进封装主要是指倒装焊(Flip Chip)、 晶圆级封装(WLP)、2.5D封装(Interposer)、3D封装(TSV)等。

图:先进封装与传统封装简单对比

资料来源:资产信息网 千际投行 中航证券

根据Yole数据显示,全球封装收入的年复合增速为4%。其中先进封装市场的年复合增速达7%,到2025年先进封装收入将达到422亿美元,而传统封装的年复合增速仅为2%。此消彼长之下,全球先进封装的收入占比将从2014年的38%,预计上涨至2025年的49.4%。

图:半导体封装技术演进路径

资料来源:资产信息网 千际投行 中泰证券

1.1 先进封装发展历程

封装朝小型化、多引脚、高集成目标持续演进。封装历史发展大概分为五阶段,目前市场主流封装形式仍以第三阶段为主流,BGA和CSP等主要封装形式进入大规模生产阶段。封装演变历史朝小型化、I/O数量增加(多引脚)、集成化三向发展。

以小型化为例,过去DIP 封装后的体积是芯片的100 倍大,发展至CSP 仅芯片的1.2 倍或更小;I/O 数量也从过去6 个引脚增加到数千个以上。先进封装位于整个封装技术发展的第四阶段及第五阶段,I/O 数量多、芯片相对小、高度集成化为先进封装特色。

图:集成电路封装发展历程图

资料来源:资产信息网 千际投行 Yole

先进封装的市场规模据Yole数据,2021年全球封装市场规模约达777亿美元。其中,先进封装全球市场规模约350亿美元,预计到2025年先进封装的全球市场规模将达到420

亿美元,2019-2025年全球先进封装市场的CAGR约8%。相比同期整体封装市场(CAGR=5%)和传统封装市场,先进封装市场增速更为显著。同时,先进封装占比中,倒装占比最高,3D封装预计增长最快。从晶圆数来看,根据Yole和集微咨询数据,2019年约2900万片晶圆采用先进封装,到2025年增长为4300万片,年均复合增速为7%。(折合成12寸)。

图:先进封装市场规模

资料来源:资产信息网 千际投行 Yole

1.2 先进封装分类

先进封装以内部封装工艺的先进性为评判标准,并以内部连接有无基板可分两大类。先进封装的划分点在于工艺以及封装技术的先进性,一般而言,内部封装为引线框架(WB)的封装不被归类为先进封装,而内部采用倒装(FC)、晶圆级(WL)等先进技术的封装则可以称为先进封装,先进封装以内部连接有无载体(基板)可一分为二进行划分:

(1)有载体(基板型):内部封装需要依靠基板、引线框架或中介层(Interposer),主要内部互连为倒装封装(FC),可以分为单芯片或者多芯片封装,多芯片封装会在中介层(或基板)之上有多个芯片并排或者堆叠,形成2.5D/3D 结构,基板之下的外部封装包括BGA/LGA、CSP 等,封装由内外部封装结合而成,目前业界最具代表性且最广为使用的组合包括FCBGA(倒装 BGA)、Embedded SiP、2.5D/3D Integration。

(2)无载体(晶圆级):不需要基板、引线框架或中介层(Interposer),因此无内外部封装之分,以晶圆级封装为代表,运用重布线层(RDL)与凸块(Bumping)等作为I/O绕线手段,再使用倒放的方式与PCB 板直接连接,封装厚度比有载体变得更薄。晶圆级封装分为扇入型(Fan-in)跟扇出型(Fan-out),而扇出型又可以延伸出3D FO封装,晶圆级封装为目前封装技术中最先进的技术类别。

先进封装以缩小尺寸、系统性集成、提高I/O数量、提高散热性能为发展主轴,可以包括单芯片和多芯片,倒装封装以及晶圆级封装被广为使用,再搭配互连技术(TSV, Bump等)的技术能力提升,推动封装的进步,内外部封装可以搭配组合成不同的高性能封装产品。

图:先进封装分类及结构图

资料来源:资产信息网 千际投行 Yole

第二章 商业模式和技术发展

2.1 产业链

图:先进封装产业链概览

资料来源:资产信息网 千际投行 中金公司

先进封装产业的上游是以康强电子、兴森科技、岱勒新材、三环集团为代表的封装材料供应商与士兰微、中芯国际为代表的集成电路制造企业。中游作为集成电路封装行业主体,主要进行集成电路封装与测试过程。下游为3C电子、工控等终端应用。

图 先进封装上中下游

资料来源:资产信息网 千际投行

上游

随着封装技术向多引脚、窄间距、小型化的趋势发展,封装基板已逐渐取代传统引线框架成为主流封装材料。引线键合类基板在其封装总成本中占比约为40%~50%,而倒装芯片类基板的成本占比则可高达70%~80%。相对其他封装材料,封装基板的难度更大,但利润高、应用领域众多、市场空间广阔。

图 半导体封装材料标的

资料来源:资产信息网 千际投行 方正证券

先进封装材料市场较为分散,中国企业在键合丝、环氧塑封料、引线框架市场中具备一定影响力,国产化率水平较高,但是在封装基板、芯片粘结材料方面与国际领先企业差距依然较大。

随着新型高密度封装形式的出现,电子封装的许多功能,如电气连接,物理保护,正逐渐部分或全部的由封装基板来承担。近年来在电子基板中,高密度多层基板占比越来越大,在先进封装中的运用越来越广泛。封装基板作为特种印制电路板,是将较高精密度的芯片或者器件与较低精密度的印制电路板连接在一起的基本部件。相较于PCB板的线宽/线距50μm/50μm参数,封装基板可实现线宽/线距<25μm/25μm的参数。PCB板整体精细化提高的成本远高于通过封装基板来互连PCB和芯片的成本。

图 半导体封装基板市场趋势

资料来源:资产信息网 千际投行 方正证券

中游

先进封装按外壳材料通常可以分为金属封装、陶瓷封装、塑料封装;按照封装链接结构可以分为内部封装、外部封装和晶圆级封装,封装内部是指封装内部芯片与载体(引线框架或载板)之间的连接方式,包括引线键合(WB)、载带自动焊(TAB)、倒装封装(FC),外部封装为引线框架(或载板)与印刷电路板(PCB)之间的连接方式,是我们肉眼可见的封装外型,例如QFP、QFN、BGA、LGA等,部分晶圆级封装因为无需引线框架或导线载板,直接与PCB 板连接,因此跳脱于传统内部及外部封装之分。

图:先进封装材料基本情况

资料来源:资产信息网 千际投行 东方财富证券

下游

先进封装的下游应用以移动设备、多引脚、高性能产品为主要需求。晶圆级封装多用在小型移动设备,基板型多用在引脚多且无体积限制的产品,多芯片又可以被归类为SiP 封装。

先进封装可以由单芯片、多芯片、晶圆级、基板级组合而成,晶圆级和基板级的不同源自于制程上的差异,晶圆级封装用到芯片制造的工艺,需要淀积、光刻、去胶、刻蚀等流程,相较于基板级封装,晶圆级封装能够有更小的封装体积,因此多用在小型移动设备,而基板级多用在高引脚且无体积限制的产品。

一般而言,多芯片封装都在封装内部自成一个子系统,因此多芯片又可以被归类为 SiP(System in Package,系统级封装),SiP封装关注在封装内的系统实现,不管先进性与否,只要是能自成系统的都可以称为 SiP,而先进封装领域的SiP包括 2.5D/3D FO、Embedded、2.5D/3D Integration 以及技术比较先进的异质异构封装(比如苹果手表S系列芯片)等。

图:先进封装应用

资料来源:资产信息网 千际投行 中信证券

近年来,随着计算机行业的逐渐成熟,我国电子计算机产业维持稳中有升的态势,电子计算机整机累计产量、微型电子计算机累计产量均同比出现不同程度增长。相对于2016年的低谷,当前计算机行业正处在上升阶段,预计与换新周期及经济缓慢复苏有关。据国家统计局统计,2020年我国电子计算机整机产量为4.05亿,较2019年同比上升13.64%;2021年全年,电子计算机整机产量为4.85亿台,同比增长19.87%。

资料来源:资产信息网 千际投行 前瞻产业研究院

IDC数据显示,2015年中国平板电脑出货量约为2592万台,占全球销量的11.8%;2016年开始出现下降的趋势,其主要原因是大屏智能手机和二合一电脑成为阻击平板电脑的强力竞争对手;但随着平板电脑的技术在不断更新,中国平板电脑出货量降幅速度放缓。2020年,随着消费者对消费3C的需求增加,平板电脑市场开始出现回暖趋势,2020年出货量为2338万台,同比增长1.31%。2021 年全年,中国平板电脑市场出货量约 2846 万台,同比增长 21.8%。

图:2015-2021年中国平板电脑出货量(单位:万台,%)

资料来源:资产信息网 千际投行 IDC

2015-2020年,我国笔记本电脑产量在2016年有所下降后呈现逐年增长的趋势,2019年我国笔记本电脑产量达到1.85亿台,同比增长4.3%。2020年,中国笔记本计算机产量为2.35亿台,同比增长26.9%。2021年12月28日中国计算机行业协会发布《2021年度中国计算机行业发展报告》,预计中国2021年全年笔记本电脑产量约2.3亿台。

图:2012-2021年中国笔记本计算机产量(单位:万台,%)

资料来源:资产信息网 千际投行 前瞻产业研究院

根据工信部的数据显示,2012-2020年,国内智能手机出货量呈波动趋势,2019年国内智能手机出货量3.72亿部,同比下降4.7%,占同期手机出货量的95.6%。2020年,国内智能手机出货量3.08亿部,同比下降20.8%。2021年全年,智能手机出货量3.43亿部,同比增长15.9%。

2.2 商业模式

先进封装目前包含两种商业模式:一是由IDM和代工厂在制造后执行的内部ATP服务;二是第三方客户的OSAT公司,OSAT客户可以包括IDM、无晶圆厂公司和代工厂。

图:半导体生态系统

资料来源:资产信息网 千际投行 CSET

早在20世纪60年代,半导体制造商利用劳动力成本的优势,在亚洲建立了工厂。如今,在美国和欧洲以外,从事半导体制造的领先公司总部都设在新加坡、韩国、日本和中国。欧洲、美国、韩国和中国领先IDM和代工厂也在先进封装方面进行了大量投资。然而,总部位于中国的公司主导了OSAT部分。

OSAT、IDM和代工厂使用材料和设备组装和封装成品晶圆。如果按销售额衡量,总部位于美国、中国、韩国和日本的公司占封装市场份额的绝大部分。然而,从物理设施的位置来看,亚洲明显是领导者。最近的统计表明,中国在封装设备总数方面领先(220,含台湾地区106),其次分别是亚太其他地区(65)、北美(35)、日本(27)和欧洲(19)。公司总部位于美国和欧洲的半导体工业协会估计, 全球至少81%的ATP产能位于亚洲。

2.3 技术发展

对国内先进封装行业的各个专利申请人的专利数量进行统计,排名前列的公司依次为:长电科技、生益科技、通富微电、国星光电、寒武纪、深科技、正业科技等。

图 先进封装行业上市企业专利数量 TOP 10

资料来源:资产信息网 千际投行 iFinD

先进封装工艺有倒装焊(Flip Chip)、晶圆级封装(WLP)、2.5D封装(Interposer)、3D封装(TSV)、Chip let等。

图:先进封装技术汇总

资料来源:资产信息网 千际投行 CEIA电子制造

WLP(Wafer Level Package):晶圆级封装

WLP就是直接在晶圆上进行大部分或全部的封装测试程序,之后再进行切割制成单颗芯片。采用这种封装技术,不需要引线框架、基板等介质,芯片的封装尺寸减小,批量处理也使生产成本大幅下降。WLP 可分为扇入型晶圆级封装(Fan-In WLP)和扇出型晶圆级封装

(Fan-Out WLP)两大类:

(1)扇入型:直接在晶圆上进行封装,封装完成后进行切割,布线均在芯片尺寸内完成,封装大小和芯片尺寸相同;

(2)扇出型:基于晶圆重构技术,将切割后的各芯片重新布置到人工载板上,芯片间距离视需求而定,之后再进行晶圆级封装,最后再切割,布线可在芯片内和芯片外,得到的封装面积一般大于芯片面积,但可提供的I/O数量增加。

图:WLP晶圆级封装

资料来源:资产信息网 千际投行 晶化科技

图:扇入型和扇出型封装

资料来源:资产信息网 千际投行 矽品(SPIL)

Flip Chip:倒装焊

倒装工艺:指在芯片的I/O焊盘上直接沉积,或通过RDL布线后沉积凸块(Bump),然后将芯片翻转,进行加热,使熔融的焊料与基板或框架相结合,芯片电气面朝下。

凸块工艺:倒装工艺必备,在晶圆表面植锡球或铜块等,是先进封装的核心技术之一。

2.5D封装与3D封装

(1)2.5D封装:裸片并排放置在具有硅通孔(TSV)的中介层顶部。其底座,即中介层,可提供芯片之间的互联。

(2)3D 封装:又称为叠层芯片封装技术,3D 封装可采用凸块或硅通孔技术(Through Silicon Via,TSV),TSV是利用垂直硅通孔完成芯片间互连的方法,由于连接距离更短、强度更高,能实现更小更薄而性能更好、密度更高、尺寸和重量明显减小的封装,而且还能用于异种芯片之间的互连。

SiP:System in Packag,系统级封装

SIP是将多种功能芯片,包括处理器、存储器、FPGA等功能芯片集成在一个封装内,从而实现一个基本完整的功能。与系统级芯片(System on Chip,SoC)相对应,不同的是系统级封装是采用不同芯片进行并排或叠加的封装方式,而SoC 则是高度集成的芯片产品。

图:苹果S7手表和A15芯片所采用的先进封装技术

资料来源:资产信息网 千际投行 Prismark

Chiplet

Chiplet 技术是一种通过总线和先进封装技术实现异质集成的封装形式。

图:Chiplet

资料来源:资产信息网 千际投行 CEIA电子制造


2.4 政策监管

行政监管部门

(1)工信部:主要负责研究拟定信息化发展战略、方针政策和总体规划;推动产业结构战略性调整和优化升级;拟定行业的法律、法规,发布行政规章,组织制订行业的技术政策、技术体制和技术标准,并对行业的发展方向进行宏观调控。

(2)科技部:主要负责拟定国家创新驱动发展战略方针以及科技发展、引进国外智力规划和政策并组织实施;牵头建立统一的国家科技管理平台和科研项目资金协调、评估、监管机构;拟定国家基础研究规划、政策和标准并组织实施;编制国家重大科技项目规划并监督实施;牵头国家技术转移体系建设,拟订科技成果转移转化和促进产学研结合的相关政策措施并监督实施等。

自律协会

(1)中国半导体行业协会:是行业的自律组织和协调机构,下设集成电路分会、半导体分立器件分会、半导体封装分会、集成电路设计分会等专业机构,协会主要负责贯彻落实政府有关的政策、法规,向政府业务主管部门提出行业发展的经济、技术和装备政策的咨询意见和建议;做好信息咨询工作;调查、研究、预测行业产业与市场,汇集企业要求,反映行业发展呼声;广泛开展经济技术交流和学术交流活动;开展国际交流与合作;制(修)订行业标准、国家标准及推荐标准等任务。

(2)中国电子专用设备工业协会:主要负责向会员单位和政府主管部门提供行业情况调查、市场趋势、经济运行预测等信息;代表会员单位向政府部门提出产业发展建议和意见;做好政策导向、信息导向、市场导向工作;广泛开展经济技术交流和学术交流活动,发展与国外团体的联系,促进产业发展,推动产业国际化等。

(3)中国集成电路测试仪器与装备产业技术创新联盟:由中国科学院微电子研究所作为依托单位,并由我国从事集成电路测试技术相关的产学研用单位在完全自愿的基础上组成。该联盟秉承“开放、协作、分享、共赢”的宗旨,以我国集成电路测试产业需求为牵引,依托联盟各成员单位的人才、技术和市场资源,加强信息交流共享、开展国内国际合作、整合测试产业资源、突出联盟整体优势、提升联盟成员作用,共同推动我国集成电路测试仪器和装备的技术创新和产业化。

行业政策

图 行业主要政策

资料来源:资产信息网 千际投行 观研天下

第三章 行业估值、定价机制和全球龙头企业

3.1 行业综合财务分析和估值方法

图:指数表现

资料来源:资产信息网 千际投行 iFinD

先进封装行业估值方法可以选择市盈率估值法、PEG估值法、市净率估值法、市现率、P/S市销率估值法、EV / Sales市售率估值法、RNAV重估净资产估值法、EV/EBITDA估值法、DDM估值法、DCF现金流折现估值法、NAV净资产价值估值法等。

图:长电科技主营业务

图:通富微电主营业务

资料来源:资产信息网 千际投行 iFinD

3.2 行业发展和驱动因子

政策资金支持

半导体产业作为信息产业的基础和核心,是国民经济和社会发展的战略性产业,国家给予了高度重视和大力支持。为推动我国以集成电路为主的半导体产业发展,增强信息产业创新能力和国际竞争力,国家出台了一系列鼓励扶持政策,为半导体先进封装产业建立了优良的政策环境,促进半导体先进封装产业的快速发展。

集成电路产业的转型升级

根据WSTS统计,2017年至2020年,全球集成电路市场规模从3431.9亿美元提升至3,612.30亿美元。2019年,受到中美贸易摩擦的影响,全球集成电路产业总收入为3,333.5亿美元,较2018年度下降15.24%。随着贸易争端问题缓和、全球疫情逐步得以控制、5G、物联网、人工智能、可穿戴设备等新兴应用领域持续蓬勃发展,2020年全球集成电路产业市场规模重回增长,预计未来将继续保持增长态势2026年全球集成电路市场规模将增至7478.62亿美元,其中集成电路设计达到2774.57亿美元;集成电路制造达到3834.05亿美元;集成电路封测达到870亿美元。

资料来源:资产信息网 千际投行 亿渡数据

消费电子产业的快速崛起

我国消费电子产销规模均居世界第一,我国是消费电子产品的全球重要制造基地,全球主要的电子生产和代工企业大多数在我国设立制造基地和研发中心。

产业相关工程师数量的日益增多

3.3 行业风险分析和风险管理

市场风险

(1)行业波动风险

集成电路行业具有周期性波动的特点,且半导体行业周期的频率要远高于经济周期,在经济周期的上行或下行过程中,都可能出现完全相反的半导体周期。受行业波动周期的影响,未来半导体行业能否持续回暖具有不确定性,可能对现金封测行业经营业绩造成不利影响。

(2)产业政策变化风险

政府对集成电路行业的产业政策为我国先进封测企业提供了良好的政策环境,若国家产业政策发生不利变化,将对行业产生一定的影响。同时,产品销往国外的占比较高,如果国家产业政策、进出口政策或者公司产品出口国家或地区的相关政策、法规或规则等有所调整,可能会对公司业务造成不利影响。另外,公司在外国设有工厂,所属国家产业政策变化也将会对公司业务运营产生影响。

应对措施:业内公司应持续关注市场动向、宏观经济形势、相关政策、客户需求等变化,并建立对标体系,及时调整经营发展目标和投资方向,降低相关市场风险带来的影响。

经营风险

(1)贸易摩擦风险

业内公司作为半导体芯片成品制造和测试企业。如果相关国家与中国的贸易摩擦持续升级,限制进出口或提高关税,业内公司可能面临设备、原材料短缺和客户流失等风险,进而导致公司生产受限、订单减少、成本增加,对公司的业务和经营产生不利影响。

应对措施:业内公司应及时跟进与相关国家贸易争端进展并披露相关信息,并将积极采取相关应对措施,尽可能地降低生产经营风险。

(2)设备供应风险

半导体芯片成品制造和测试行业对设备有较高要求,部分重要核心设备来自境外。未来,业内公司的某些核心设备可能会发生供应短缺、价格大幅上涨,或者供应商所处国家与地区与中国发生贸易摩擦、外交冲突、战争等进而影响到相应设备的出口许可,可能会对公司生产经营及持续发展产生不利影响。

应对措施:公司应积极采取推进供应链多元化计划等一系列措施,尽可能地降低设备供应不足等对生产经营带来的不利影响。

(3)疫情影响生产经营的风险

自 2020 年初疫情全球爆发,多数地区和行业遭受了不同程度的影响,今年上半年国内疫情出现反复。疫情期间的隔离管控、物流限制等疫情防控措施可能使得公司的人员出勤、设备采购及安装维护、销售发货等环节有所迟滞,以及客户开发等市场活动受到一定限制。业内公司客户和供应商所在地的疫情也会影响到产业链上下游公司的日常经营活动,从而对整个集成电路行业带来不利影响。

应对措施:业内公司应持续密切关注新冠疫情发展情况,评估和积极应对其对本公司财务状况、经营成果等方面的影响。

(4)市场竞争加剧风险

传统消费电子需求从 2022 年一季度开始逐渐放缓,包括手机制造商在内的 IC 终端用户库存水平显著高于 2021 年,存在下半年半导体封测需求下降的风险;另外疫情在全球范围内仍在广泛传播,叠加包括俄乌战争在内的国际政治事件,一起对供应链造成影响,继而影响销售;当市场需求下降时,国内部分封测产能闲置带来的价格竞争,进而对行业销售额及利润率造成一定影响。

3.4 竞争分析 - 运用SWOT模型

优势

相比传统封装,新形式正快速改写封测行业以低门槛、低单价竞争为主,同质化程度高的行业特征。随着IDM(垂直整合制造商)和晶圆厂入局,前、中道工艺的渗透不断提升先进封装技术壁垒。同时先进封装突出了芯片器件之间的集成与互联,设计厂商在芯片开发初始阶段就需要考虑到含封装在内、整个系统层级设计和优化。考虑到先进封装带来更多的诸如散热、机械机构等设计要点,EDA工具服务范围得到拓展。。

劣势

随着芯片设计的异质性和应用的针对性越来越强,由此变化带来的问题也越来越多,这使得我们很难确定问题的根源或预测出错的原因以及什么时候出错。先进封装中使用的键合/解键和互连也存在差异。例如,有大规模回流焊、热压焊和激光辅助键合互连选择的线束,或线束加倒装芯片与各种被动元件的组合。对于每一种工艺,在温度、应力残留和可能的隐形微裂纹方面都有很多变化。

机遇

在设备端,封测产业虽然是我国半导体产业链中最成熟的环节,但后道封装和测试设备、封装材料的国产化率仍然较低,有较大替代空间。而随着中道制造的快速发展,国内前道设备制造商已顺利进入头部客户的产线并已形成较强竞争力。新的机遇正在到来。

威胁

全球主流封测厂商目前都在先进封装领域加大投资,不过国内的封装还是以传统封装为主,长电科技、通富微电通过自主研发和收购兼并,目前先进封装的产业化能力达到了一定水平,但是中国先进封装占比约为25%,低于全球水平。

3.5 中国企业重要参与者

中国主要企业有华润微电[688396.SH]、长电科技[600584.SH]、生益科技[600183.SH]、盛美上海[688082.SH]、华天科技[002185.SZ]、通富微电[002156.SZ]、寒武纪[688256.SH]、振华风光[688439.SH]、芯原股份[688521.SH]、深科技[000021.SZ]等。

图 先进封装行业上市企业市值TOP10

资料来源:资产信息网 千际投行 iFinD

(1)长电科技:是全球领先的集成电路制造和技术服务提供商,提供全方位的芯片成品制造一站式服务,包括集成电路的系统集成、设计仿真、技术开发、产品认证、晶圆中测、晶圆级中道封装测试、系统级封装测试、芯片成品测试并可向世界各地的半导体客户提供直运服务。

(2)通富微电:专业从事集成电路封装测试,总部位于江苏南通,拥有崇川总部工厂、南通通富微电子有限公司(南通通富)、合肥通富微电子有限公司(合肥通富)、厦门通富微电子有限公司(厦门通富)、苏州通富超威半导体有限公司(TF-AMD苏州)、TF AMD Microelectronics (Penang) Sdn. Bhd.(TF-AMD槟城)六大生产基地。通过自身发展与并购,公司已成为本土半导体跨国集团公司、中国集成电路封装测试领军企业,集团员工总数超1.5万人。

(3)台积电:技术是台积电公司的基石之一,我们提供专业集成电路制造领域中最完备的技术与服务,为全球半导体业界的客户服务,并期许成为半导体业界坚实的创新基础。此一创新基础系以台积电公司多样、完备的工艺选择以及各项服务为后盾。透过与合作伙伴的密切协同合作,我们提供最完备并且通过工艺验证的组件数据库、硅知识产权,并构建了全球半导体业界最先进的设计生态环境,期望提供给客户在专业集成电路制造领域中最佳的技术支持服务。

3.6 全球重要竞争者

图:Yole根据2021年封装业务的厂商市场营收作了排名,列出了前30的先进封装企业。

资料来源:资产信息网 千际投行 Yole

(1)Intel:英特尔2.5D/3D 应用时间晚于台积电,产品定位以封装自家产品为主。英特尔也在积极布局 2.5D/3D 封装领域,其封装产品量产时间晚于台积电,其 2.5D EMIB 技术可以对标台积电的CoWoS 技术,3D Foveros 技术可以对标台积电的InFO 技术,根据英特尔目前的计划,其封装技术将用在自家系列的产品上,因此预计对于市场造成的冲击影响较小。

(2)三星:三星2.5D/3D 技术发布时间晚于台积电和英特尔,应用产品仍较少。三星2019年成立SAFE专注于先进封装技术开发,目前旗下有2.5D的I-cube对标台积电CoWoS和英特尔EMIB、3D X-cube对标台积电InFO和英特尔Foveros。自2016年被台积电抢走苹果处理器订单后,三星开始在先进封装领域大力布局,目前对应的产品推出时间都晚于台积电和英特尔,处于落后状态,应用产品仍少。但在3D IC 方面,三星具有优势,因为三星同时拥有存储器DRAM 和处理器的制造技术,而台积电并没有先进DRAM 技术,因此在3D异质整合上三星或具优势。

(3)索尼:制造的CMOS 图像传感器等其他应用已经采用 6.3 微米间距。为了继续进行比较,36 微米间距的凸块密度高 31 倍,以17 微米间距实现的铜 TSV 的 IO 密度高 138 倍,索尼的 6.3 微米间距的CMOS 图像传感器的 IO 密度高 567 倍标准倒装芯片。

第四章 未来展望

技术受益于半导体的发展,需求量增加

现在是科技的时代,不管是传统的消费电子行业,还是现在人工智能,新能源汽车,大数据都需要芯片的支持,这样也带动了先进封装行业的高效发展。市场需求让先进封装的行业容量不断增加,带动起产业链的发展。

国产替代是大趋势

先进封装属于高新科技,技术壁垒比较高。这个行业虽然有很大发展前景,但是目前都是由其他国家厂家掌控着关键技术,我国的先进封装的设备比较初级,主要是低端设备。想要高端的技术就只能依赖进口,也间接影响了国内芯片行业的发展。想要让芯片行业更好地发展,国产替代是必然的阶段。

企业的技术创新

人才对于先进封装就是重点所在,由于国际环境发生变化,导致很多外国人才回流,给先进封装行业带来新的人才。与此同时,不少企业也在自己擅长的领域,进行创新之路,突破技术壁垒。

Cover Photo by John Cameron on Unsplash

END

声明:本文为OFweek维科号作者发布,不代表OFweek维科号立场。如有侵权或其他问题,请及时联系我们举报。
2
评论

评论

    相关阅读

    暂无数据

    资产信息网

    资产信息网是人工智能AI大数据驱...

    举报文章问题

    ×
    • 营销广告
    • 重复、旧闻
    • 格式问题
    • 低俗
    • 标题夸张
    • 与事实不符
    • 疑似抄袭
    • 我有话要说
    确定 取消

    举报评论问题

    ×
    • 淫秽色情
    • 营销广告
    • 恶意攻击谩骂
    • 我要吐槽
    确定 取消

    用户登录×

    请输入用户名/手机/邮箱

    请输入密码