• 发文
  • 评论
  • 微博
  • 空间
  • 微信

我的第一个UVM代码——Hello world

ExASIC 2020-09-17 10:06 发文

你刚开始是怎么学UVM的?白皮书还是红皮书?而我是从hello world实验开始的。

就是这段:

(为什么是图片不是文字?就是为了不让你们Ctrl-C Ctrl-V

)

几点说明:
1. 为了使用UVM库里的函数和宏定义,需要先import uvm_pkg和include uvm_macros。
2. 在testbench的顶层(module tb)里通过run_test调用了uvm_test派生出来的testcase(hello_world)。
3. 为什么run_test能够通过字符串"hello_world"找到testcase?与宏定义`uvm_component_utils有关

仿真命令:

irun -sv -uvmhome

/.../ies/tools/methodology/UVM/CDNS-1.2/sv

hello_world.sv

仿真结果如下:

最后,再用一张图来描述一下这个hello_world的结构:

声明:本文为OFweek维科号作者发布,不代表OFweek维科号立场。如有侵权或其他问题,请及时联系我们举报。
2
评论

评论

    相关阅读

    暂无数据

    ExASIC

    分享数字集成电路设计中的经验和方...

    举报文章问题

    ×
    • 营销广告
    • 重复、旧闻
    • 格式问题
    • 低俗
    • 标题夸张
    • 与事实不符
    • 疑似抄袭
    • 我有话要说
    确定 取消

    举报评论问题

    ×
    • 淫秽色情
    • 营销广告
    • 恶意攻击谩骂
    • 我要吐槽
    确定 取消

    用户登录×

    请输入用户名/手机/邮箱

    请输入密码