• 发文
  • 评论
  • 微博
  • 空间
  • 微信

我的第三个UVM代码——把testcase与driver分开

ExASIC 2020-10-26 14:01 发文

《我的第二个UVM代码——连接interface》中直接在testcase里驱动interface,当代码越来越多,需要考虑把环境拆分成多个小的环境,便于修改和维护。

如下图,定义一个driver,并例化在testcase里。把interface连接到driver里的virtual interface,在driver里toggle interface,实现协议功能。

第一步,在build_phase里连接virtual interface。分两次,tb到testcase,再从testcase里取出interface转存到driver。如下图。注意,get和set的路径是由几个参数共同组成的。例如,null、uvm_test_top、u_intf组成了uvm_test_top.u_intf。又如,this(uvm_test_top)、my_driver、u_intf组成了uvm_test_top.my_driver.u_intf。

在编译时可以加入+UVM_CONFIG_DB_TRACE来打印config_db的set和get的详细信息。

第二步,在driver的main_phase里驱动virtual interface。这一步与

《我的第二个UVM代码——连接interface》没什么区别,只是把代码从testcase里移到driver里而已。

第三步,编译仿真。仿真命令(以irun为例)、波形、环境层次关系(verdi)如下。

总结:在本示例中没有env、agent、sequencer、sequence等组件,属于一个简化的验证环境,主要是由于分步演示的需要。侧面也说明UVM是一个灵活的验证架构,可以根据自己的需要进行裁剪。

声明:本文为OFweek维科号作者发布,不代表OFweek维科号立场。如有侵权或其他问题,请及时联系我们举报。
2
评论

评论

    相关阅读

    暂无数据

    ExASIC

    分享数字集成电路设计中的经验和方...

    举报文章问题

    ×
    • 营销广告
    • 重复、旧闻
    • 格式问题
    • 低俗
    • 标题夸张
    • 与事实不符
    • 疑似抄袭
    • 我有话要说
    确定 取消

    举报评论问题

    ×
    • 淫秽色情
    • 营销广告
    • 恶意攻击谩骂
    • 我要吐槽
    确定 取消

    用户登录×

    请输入用户名/手机/邮箱

    请输入密码